当前位置:编程学习 > wap >>

quartus与modelsim联调时,时序仿真怎么弄

用vhdl语言写的程序,quartus与modelsim联调,自己能加延迟时间吗?我看了好像verilog能自己加延迟的,vhdl怎么解决?谢谢!!!
补充:移动开发 ,  电信IT应用开发
CopyRight © 2012 站长网 编程知识问答 www.zzzyk.com All Rights Reserved
部份技术文章来自网络,